4889软件园 :电脑手机软件下载大全,热门手机游戏免费下载

4889软件园 > 手机软件> ModelSim SE2020破解版
ModelSim SE2020破解版

ModelSim SE2020破解版

类型: 装机必备

版本:附安装教程

大小:

更新:2024-01-19

语言:简体中文

等级:

  • APP介绍
  • APP截图
ModelSim是一款由Mentor公司开发的专业级HDL语言仿真软件。该软件广泛应用于计算机领域,为专业人员的行业工作提供了出色的支持和满足需求的功能。特别是ModelSim SE2020版本,它进一步增强了软件的功能和技术,以更好地满足行业领域人员的使用需求。
ModelSim SE2020具备了一系列完善的功能,使用户能够进行准确和高效的HDL仿真。它提供了先进的调试工具、强大的波形编辑器以及丰富的仿真控制选项,使用户能够更轻松地分析和验证他们的设计。此外,该软件还支持多种HDL语言,如VHDL和Verilog,为用户提供了更灵活的选择。
使用ModelSim SE2020,行业领域的专业人员可以更加便捷地进行各种仿真任务。无论是验证硬件设计、优化性能还是调试复杂的电路,这款软件都能为用户提供强大而可靠的工具。ModelSim SE2020的出色功能和技术使其成为行业人员在计算机领域中不可或缺的辅助工具,有助于提高他们的工作效率和项目质量。
综上所述,ModelSim SE2020是一款功能强大,可靠性高的HDL语言仿真软件。它在满足专业人员的行业工作需求方面,具备出色的性能表现,并提供了更加完善的功能和技术。如果你是电脑领域的专业人士,ModelSim SE2020绝对是你不容错过的工具,能够极大地提升你的仿真和验证工作效率。
ModelSim SE

软件特色

1、统一的混合语言仿真引擎,易于使用和性能。
2、native支持的Verilog,SystemVerilog的设计,VHDL和SystemC对复杂的设计环境的有效核查。
3、快速调试时间,易于使用的多语言调试环境。
4、先进的代码覆盖率和分析工具,可快速缩短覆盖范围。
5、提供了交互式调试和后期模拟调试,因此可使用相同的调试环境。
6、强大的波形比较功能,可轻松分析差异和错误。
7、统一的覆盖数据库,具有完整的交互式和HTML报告和处理功能,可了解和调试整个项目的覆盖范围。
8、结合HDL Designer和HDL Author进行完整的设计创建,项目管理和可视化功能。

安装教程

1、下载软件压缩包后先进行解压,得到以下文件,双击运行“modelsim-win64-2020.4-se.exe”文件安装软件,如下图;

2、等待软件加载,进入软件安装向导页,点击下一步安装软件,如下图;

3、选择软件安装的路径,默认C盘,可更改,确认之后,点击下一步即可;
注意默认安装路径的话会弹出创建路径提示框,想要安装在C盘的朋友点击“是”即可;

4、进入下一步之后,弹出下图情况情况,注意,这里不要安装Key Driver,点击否;

5、等到软件安装,软件安装完成后先不要运行软件,然后打开crack文件夹,找到crack1文件夹,将里面的“MentorKG.exe、MGLS.DLL和patch_dll.bat”文件复制到软件安装路径选择的win64文件夹中;
默认安装路径为【C:\modeltech64_2020.4】
注:如果不知道前面安装的路径,可以右击一下桌面的软件快捷图标,找到“打开软件安装位置"就可以打开软件安装的目标路径。

6、然后在替换软件安装的路径下,以管理员的身份运行“patch_dll.bat”文件,然后将生成的许可证另存为“LICENSE.TXT”,并将其保存中软件安装的路径下;

7、然后就是创建系统环境的变量;
变量名:LM_LICENSE_FILE
变量值:LICENSE.TXT的路径。
默认为:C:\modeltech64_2020.4\win64\LICENSE.TXT

8、完成以上操作后,软件就破解激活成功了;

功能亮点

1、更智能的GUI
智能设计的GUI可以有效利用桌面空间。 ModelSim提供了高度直观的交互式图形元素(窗口,工具栏,菜单等)排列方式,使您可以轻松查看和访问其许多强大功能。 结果便是易于使用且可以快速掌握的功能丰富的GUI。 通过将Tcl用户界面集成到其HDL仿真器中,ModelSim重新定义了仿真的开放性。 Tcl是一种简单但功能强大的脚本语言,用于控制和扩展应用程序。
ModelSim GUI提供高效的设计调试和分析功能以及项目和文件管理。
2、记忆窗
存储器窗口允许直观,灵活地查看和调试设计存储器。 VHDL和Verilog内存是从源中自动提取并在GUI中查看的,从而提供了强大的搜索,填充,编辑,加载和保存功能。内存窗口支持从文件或通过使用恒定,随机和计算值来预加载内存,从而节省了仅加载内存来初始化测试平台部分的耗时步骤。所有功能都可以通过命令行使用,从而可以在脚本中使用它们。
3、波形和结果查看
ModelSim提供了高性能的全功能波形窗口。 Wave窗口提供了用于标记有趣的时间点并测量光标之间的时间距离的光标。波形窗口的内容可以通过强大的虚拟信号定义和分组灵活地设置格式。
在两个仿真结果之间可以轻松进行波形比较。通过用户指定的时间过滤功能,可以轻松处理RTL和门级仿真结果之间的时序差异。
ModelSim提供了独特的WLF管理实用程序(又名WLFMAN),该实用程序允许处理WLF结果文件。这使您可以指定要记录到WLF文件的信息量,并允许您根据信号或时间对现有WLF文件进行子集化。
WLFMAN实用程序可有效管理磁盘空间并提高模拟后调试效率。
4、源窗口模板和向导
使用VHDL和Verilog模板和向导,您可以快速开发HDL代码,而不必记住确切的语言语法。只需单击鼠标,即可使用所有语言结构。易于使用的向导将引导您逐步完成更复杂的HDL块的创建。向导显示了如何创建可参数化的逻辑块,测试台激励和设计对象。源代码窗口模板和向导通过省时的快捷方式使新手和高级HDL开发人员受益。
5、专案经理
项目管理器大大减少了组织文件和库所需的时间。在编译和模拟时,项目管理器会存储每个项目的唯一设置,使您可以从上次中断的地方重新启动模拟器。仿真属性使您可以轻松地使用预先配置的参数进行仿真。
6、代码覆盖率
设计验证的完整性可以通过代码覆盖率来衡量。 ModelSim支持语句,表达式,条件,切换和FSM覆盖范围。代码覆盖率指标是从HDL源自动得出的。由于创建了许多可配置和可重复使用的设计模块,并且并非所有指标都很有价值,因此可以使用代码覆盖率浏览器中指定的源代码实用程序和排除项来灵活管理代码覆盖率指标。
7、基于断言的验证
ModelSim提供了一个全面的,基于标准的基于断言的验证(ABV)解决方案,可以选择SystemVerilog断言(SVA),属性规范语言(PSL)或两者。
8、强大的,具有成本效益的模拟
解决方案ModelSim提供了功能强大的仿真解决方案,非常适合验证中小型FPGA设计;尤其是具有复杂任务关键功能的设计。

如何在modelsim中添加altera的仿真库

1.设置仿真库路径 打开ModelSim安装目录(我用的是ModelSim SE 6.2版本,安装在D:\ModelSim\Installfiles目录下),新建文件夹altera,我们就在该目录下存放预编译的各种Altera库。 启动ModelSim SE 6.2,在主窗口执行【File】/【Change Directory】命令将路径转到altera文件夹。或在命令行中执行cd D:/ModelSim/Installfiles/altera。
2.新建库 Quartus II中提供的仿真库文件存放的路径是...\altera\80\quartus\eda\sim_lib,每个库文件提供了两种形式:.v(Verilog)
格式和.vhd(VHDL)格式两种,根据你所用的语言选择使用。用于编译资源库的文件有220model.v,220model.vhd,220pack.vhd,altera_mf.v,altera_mf.vhd,altera_mf_components.vhd,altera_primitives.v,altera_primitives.vhd,altera_primitives_components.vhd文件。网上的很多教程都是把这些文件一起编译,这样适用于Verilog和VHDL混合仿真,但如果只用一种语言,如Verilog则完全没必要全部编译。下面以该目录下的altera_mf.v为例介绍建立预编译库的方法。 注:ModelSim中仿真库可以分为两大类:第一类是工作库(working),默认值为“work”目录,work目录中包含当前工程下所有被编译的设计单元,编译前必须建立一个work库,并且每个编译有且仅有一个work库;第二类是资源库(resource),存储能被当前编译引用的设计单元,在编译期间允许有多个resource库。 在主窗口中选择【File】/【New】/【Library】命令,新建一个名为altera_mf的库。
3.编译库 方便起见在altera文件夹下新建目录src,把用于编译资源库的文件复制到src文件夹中。 在主菜单中选【Compile】/【Compile】命令,弹出Compile Source Files对话框,library中选择你刚才建立的库名
altera_mf,查找范围你选择altera_mf.v文件,刚才你已经把它复制到了...\altera\src目录下。执行编译命令。
继续按照步骤2和3中介绍的方法添加剩下的几个库。添加完成后如下图所示。
4.配置modelsim.ini文件 这一步是为了将前面建立的库添加进系统库,以后就不用再重复添加了。 ModelSim安装根目录下的配置文件modelsim.ini的只读属性去掉,用记事本或其他文本编辑程序打开。在[Library]下修改前面
添加的库的路径。注意修改后关闭并改回只读属性。 注:第1步设置的仿真库路径必须在ModelSim的安装目录下才能事业能够此相对路径。 altera_primitives = $MODEL_TECH/../altera/altera_primitives 220model = $MODEL_TECH/../altera/220model altera_mf = $MODEL_TECH/../altera/altera_mf
5.添加Altera底层硬件原语仿真库(ATOM) 在时序仿真时,必须加载所使用到的对应于具体Altera器件的底层硬件原语的仿真库(ATOM)。 上面编译了Altera三个资源库文件:220model.v,altera_mf.v,altera_primitives.v,编译通过之后。根据我们选用的具体器件型号继续编译我们所需要的器件底层原语仿真库文件,比如我们选择使用的是cycloneii,所以编译cycloneii_atoms.v。
另外,在进行仿真的时候,还要注意将上述已经编译的相关库添加到当前的工程中,以便相关lpm之类的核的调用,操作如下:在Start Simulation窗口的libraries一栏下进行添加,如图:
然后再选择work目录中当前工程的顶层实体进行仿真即可。
若是要进行时序仿真,则还应在SDF一栏进行.sdo延时文件的添加。

ModelSim SE2020破解版APP截图

ModelSim SE2020破解版最新版_ModelSim2020附安装教程